ZXPP, clon de Spectrum en una Papilio Pro

Cursos, reparaciones, fichas, tutoriales, etc.

Moderador: Fundadores

Avatar de Usuario
Kyp !Sinclair 1
Hermano de Lucifer
Hermano de Lucifer
Mensajes: 3377
Registrado: 30 Sep 2013, 14:54
Ubicación: Madrid
Has thanked: 28 times
Been thanked: 146 times

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por Kyp »

Pásame el código y le echo un vistazo
Avatar de Usuario
DistWave
Aspirante a demonio
Aspirante a demonio
Mensajes: 334
Registrado: 21 Mar 2015, 16:05
Ubicación: Zaragoza
Has thanked: 2 times
Been thanked: 16 times

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por DistWave »

El código no lo he modificado, solo he sustituido los bloques de las memorias, la asignación de pines y el PLL para ajustar las frecuencias. Y parece que el problema tiene que ver con los relojes y sus sincronismos, si alimento la ram de doble puerto con el reloj de 14 MHz en el puerto de la CPU (en lugar de hacerlo con clock4 de 3.5 MHz) parece que arranca aunque se ve corrupto.

Imagen

Edit: Confirmado, haciendo la mismo modificación con el reloj del puerto de la ULA (en vez de 25 MHz le he puesto uno de 50 MHz) la imagen se dibuja correctamente, aunque aparece algún artefacto.
Última edición por DistWave el 13 Nov 2015, 01:24, editado 2 veces en total.
Avatar de Usuario
Kyp !Sinclair 1
Hermano de Lucifer
Hermano de Lucifer
Mensajes: 3377
Registrado: 30 Sep 2013, 14:54
Ubicación: Madrid
Has thanked: 28 times
Been thanked: 146 times

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por Kyp »

Puede que tenga que ver con los tiempos de acceso de la memoria. La BRAM de la Spartan garantiza que el tiempo de acceso es de un ciclo de reloj.

Otra posibilidad es que esté funcionando con el flanco de la señal de reloj que no corresponde. EL Z80 cambia las señales en el negativo y la BRAM los procesa en el positivo. El problema es que en la FPGA todo es síncrono pero el Spectrum no, las memorias en el Spectrum no tienen señal de reloj. Igual hay que retocar alguna señal.

Probablemente si aceleras el reloj hará cosas raras. Por ejemplo a la memoria le dará tiempo a hacer las lecturas dos veces y con la escritura no se que pasará. Y si le metes 50 MHz a la ULA habría que recalcular los tiempos de las señales de sincronismo. Lo raro es que el monitor acepte la señal. He hecho varias pruebas y al menos mi monitor es bastante tiquismiquis con los tiempos.
Avatar de Usuario
Kyp !Sinclair 1
Hermano de Lucifer
Hermano de Lucifer
Mensajes: 3377
Registrado: 30 Sep 2013, 14:54
Ubicación: Madrid
Has thanked: 28 times
Been thanked: 146 times

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por Kyp »

Paso 05, mejorando el sonido

Mientras resuelvo el problema con la SDRAM voy a añadir una cosa que siempre he querido tener en el Spectrum. El soporte del chip AY. Para ello he vuelto a tomar prestado un poco del código del ZX-Uno, concretamente el código del mezclador de sonido, y he añadido un DAC Delta-Sigma cortesía de Xilinx para atacar la salida de sonido del Megawing.

El core del AY es el creado por MikeJ de fpgaarcade, el mismo que usa el ZX-Uno.

También he reorganizado un poco el módulo que genera todas las señales de reloj.

Una cosa curiosa es que mientras que en el hardware real el AY funciona a 1,75 MHz (el esquema típico usa un cristal de 3,5 MHz y posteriormente se divide la frecuencia entre dos), el core espera una señal de 3,5 MHz.

Copio el proyecto en los archivos infernales: http://www.va-de-retro.com/ajx/?goto=ar ... ios%2FZXpp
Avatar de Usuario
DistWave
Aspirante a demonio
Aspirante a demonio
Mensajes: 334
Registrado: 21 Mar 2015, 16:05
Ubicación: Zaragoza
Has thanked: 2 times
Been thanked: 16 times

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por DistWave »

He intentado implementar los 32 kb de memoria alta con la SDRAM de mi placa pero tampoco pasa los tests de la rom de diagnostico, al iniciar la prueba seleccionando Spectrum 48k hace como un reinicio y empiezan a salir los tests que hace al arrancar... hasta que se queda completamente parado en el último de las barras verticales:

Imagen

Aparecen 6 artefactos parpadeantes, tres en la primera barra y tres en la última. Seguiré investigando :D
Última edición por DistWave el 13 Nov 2015, 01:23, editado 2 veces en total.
Avatar de Usuario
Ben-kenobi
Hermano de Lucifer
Hermano de Lucifer
Mensajes: 3543
Registrado: 12 Nov 2013, 10:00
Has thanked: 1 time
Been thanked: 18 times

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por Ben-kenobi »

Jepalza , si el 23 de enero estas por madrid te invito a que vengas a la retroparla y podamos ver y darle caña al tema de las fpgas.
Quieres vinilos para makear tu spectrum? Mira Aqui
Avatar de Usuario
Kyp !Sinclair 1
Hermano de Lucifer
Hermano de Lucifer
Mensajes: 3377
Registrado: 30 Sep 2013, 14:54
Ubicación: Madrid
Has thanked: 28 times
Been thanked: 146 times

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por Kyp »

Ánimo con esa SDRAM. ¿Qué controlador estás usando?
Por cierto, no veo la imagen.
Avatar de Usuario
DistWave
Aspirante a demonio
Aspirante a demonio
Mensajes: 334
Registrado: 21 Mar 2015, 16:05
Ubicación: Zaragoza
Has thanked: 2 times
Been thanked: 16 times

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por DistWave »

Vaya, ya ha cambiado algo de google photos... a ver si ahora salen.

Estoy usando un controlador SDRAM muy sencillo de la placa Mist, que al montar una FPGA Altera parece que se lleva mejor con mi placa que los que he encontrado para xilinx.
Avatar de Usuario
Kyp !Sinclair 1
Hermano de Lucifer
Hermano de Lucifer
Mensajes: 3377
Registrado: 30 Sep 2013, 14:54
Ubicación: Madrid
Has thanked: 28 times
Been thanked: 146 times

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por Kyp »

Ya salen las fotos :)
Es raro que se quede ahí el test porque en ese punto lo que se está usando es la RAM baja ::?
Voy a probar yo también con el controlador de la Myst a ver si hay suerte y soy capaz de adaptarlo a mi placa/FPGA :|
Avatar de Usuario
jepalza
Le gustan las llamas
Le gustan las llamas
Mensajes: 65
Registrado: 03 Abr 2013, 20:15

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por jepalza »

Ben-kenobi escribió:Jepalza , si el 23 de enero estas por madrid te invito a que vengas a la retroparla y podamos ver y darle caña al tema de las fpgas.
Soy de Bilbao, no de Madrid, y no se puede decir que me quede cerca precisamente :D , pero mil gracias por el ofrecimiento.
Responder

Volver a “Cursos y Tutoriales”