ZXPP, clon de Spectrum en una Papilio Pro

Cursos, reparaciones, fichas, tutoriales, etc.

Moderador: Fundadores

Avatar de Usuario
jepalza
Le gustan las llamas
Le gustan las llamas
Mensajes: 65
Registrado: 03 Abr 2013, 20:15

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por jepalza »

Hola Kyp, Aunque no lo creas, hay gente siguiéndote en este tema. Te leo desde que iniciaste el hilo. Yo también tengo el "desastre" de placa Papilio Pro con la SDRAM, que lo compré por que no tenían la Papilio PLus, la que lleva SRAM, y ademas el addon que se acopla arriba para tener VGA, mandos y demas. He hecho de todo con esta placa, a parte de probar todas las demos que he encontrado para ella, pero cuando quiero probar algo que lleva SRAM, me pasa como a ti, que me quedo parado por falta de RAM (o de SRAM). Al igual que tú, traté de hacer una memoria SRAM desde la SDRAM , pero sin éxito. Ahora no lo recuerdo, pero encontré la página de un tio con el mismo problema que nosotros, y se puso manos a la obra para crear un módulo SRAM desde SDRAM en la Papilio, pero le perdí la pista hace tiempo, y no recuerdo el enlance. (aparte que llevo tiempo apartado del mundillo, y he vuelto para enredar con el ZXUNO en el nuevo foro que se ha creado).

Trataré de ayudarte en todo lo que pueda, ahora que ya llevas avanzado el tema.

Si te sirve de algo, yo al final, opté por ponerle memoria SRAM externa, mediante un ADDON, con una SRAM que compré por 10€ en ebay. Me hice un adaptador de pines, y la enchufé, y con esa SRAM pude divertirme un rato con el Papilio Pro, pero luego llegó el ZXUNO, y dejé de lado a la pobre papilio, por que el ZXUNO es mas divertido y menos complejo, y no tengo enchufado nada. Ademas, si enchufo la SRAM, me quedo sin VGA ni mandos, y me tuve que hacer un adaptador de VGA extra (publiqué algo en el foro verde ZDP, con fotos). Pero sigue siendo incómodo por todos los cables y placas enchufados.

Lo dicho, voy a desempolvar el papilio, que no uso al menos hace año y medio, y a ver si entre los dos metemos el ZXUNO.

Por cierto, no sé si habrás logrado el VGA , pero en el ZXUNO, conseguí meterlo (con ayuda de Mcleod_Ideafix y algo de la mia) y podemos intentarlo en el papilio.

Nos vemos, saludos Joseba Epalza.
Avatar de Usuario
Kyp !Sinclair 1
Hermano de Lucifer
Hermano de Lucifer
Mensajes: 3376
Registrado: 30 Sep 2013, 14:54
Ubicación: Madrid
Has thanked: 28 times
Been thanked: 146 times

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por Kyp »

jepalza escribió:Hola Kyp, Aunque no lo creas, hay gente siguiéndote en este tema. Te leo desde que iniciaste el hilo.
Hola Jeplaza. Gracias por el comentario. Yo también he seguido tus andanzas por el foro verde hasta que de fuiste.
Soy realista y se que esto de hacerse un clon con una FPGA no es algo que vaya a hacer todo el mundo y menos que coincida con que tenga una Papilio Pro, pero bueno, me hace ilusión ir contando mis progresos.
jepalza escribió:Yo también tengo el "desastre" de placa Papilio Pro con la SDRAM, que lo compré por que no tenían la Papilio PLus, la que lleva SRAM, y ademas el addon que se acopla arriba para tener VGA, mandos y demas.
Tampoco es que sea un desastre. Lo único es que no es la más adecuada para clonar ordenadores antiguos. Cuando la compré no sabía que las SDRAM no valían para esto y ahora no me voy a gastar casi 100€ en otra (ahora tienen la DUO que tiene muy buena pinta) así que me tengo que aguantar con lo que hay.
jepalza escribió:He hecho de todo con esta placa, a parte de probar todas las demos que he encontrado para ella, pero cuando quiero probar algo que lleva SRAM, me pasa como a ti, que me quedo parado por falta de RAM (o de SRAM). Al igual que tú, traté de hacer una memoria SRAM desde la SDRAM , pero sin éxito. Ahora no lo recuerdo, pero encontré la página de un tio con el mismo problema que nosotros, y se puso manos a la obra para crear un módulo SRAM desde SDRAM en la Papilio, pero le perdí la pista hace tiempo, y no recuerdo el enlance. (aparte que llevo tiempo apartado del mundillo, y he vuelto para enredar con el ZXUNO en el nuevo foro que se ha creado).
Lo más parecido que he encontrado es este: http://codehackcreate.com/archives/444
Pero no me acaba de funcionar del todo. Para confirmar que funciona uso una ROM que tiene varios, cuatro, tests de RAM y hasta ahora, como mucho he conseguido pasar los dos primeros que son más sencillos, pero los dos últimos no hay forma. Es ésta:
https://github.com/brendanalford/zx-dia ... i/Firmware
jepalza escribió:Trataré de ayudarte en todo lo que pueda, ahora que ya llevas avanzado el tema.
Muchas gracias :)
jepalza escribió:Si te sirve de algo, yo al final, opté por ponerle memoria SRAM externa, mediante un ADDON, con una SRAM que compré por 10€ en ebay. Me hice un adaptador de pines, y la enchufé, y con esa SRAM pude divertirme un rato con el Papilio Pro, pero luego llegó el ZXUNO, y dejé de lado a la pobre papilio, por que el ZXUNO es mas divertido y menos complejo, y no tengo enchufado nada. Ademas, si enchufo la SRAM, me quedo sin VGA ni mandos, y me tuve que hacer un adaptador de VGA extra (publiqué algo en el foro verde ZDP, con fotos). Pero sigue siendo incómodo por todos los cables y placas enchufados.
Había pensado hacer algo así, pero me echa para atrás precisamente eso, tener que dejar de contar con la placa de los conectores. No me apunté al prototipo del ZX-Uno pensando que se podía hacer con la PPro (inocente de mi, aun no me había peleado con la SDRAM), a parte de lo de soldar los chips SMD que tampoco tengo nada de práctica.
jepalza escribió:Lo dicho, voy a desempolvar el papilio, que no uso al menos hace año y medio, y a ver si entre los dos metemos el ZXUNO.
Por cierto, no sé si habrás logrado el VGA , pero en el ZXUNO, conseguí meterlo (con ayuda de Mcleod_Ideafix y algo de la mia) y podemos intentarlo en el papilio.
No estoy metiendo el ZX-Uno en la PPro, mi clon es todo diseño mio menos la parte del teclado PS/2. De echo mi ULA saca salida VGA directa, no es un scandoubler como el que va a tener el ZX-Uno. Y no replica el funcionamiento del Spectrum a nivel de puertas, registros, etc, como la del ZX-Uno sino que es una reinterpretación nueva. El HDL es mucho más simple que la de mcleod (también hace muchas menos cosas).
jepalza escribió:Nos vemos, saludos Joseba Epalza.
Saludos.
Avatar de Usuario
jepalza
Le gustan las llamas
Le gustan las llamas
Mensajes: 65
Registrado: 03 Abr 2013, 20:15

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por jepalza »

He querido probar el core en el papilio, y el "papilio loader" no me chuta. No me reconoce la fpga. Creo que el fallo es debido al windows 10, por que ya me dió problemas con el ZXUNO al programar con el IMPACT. ¿A ti te ha ocurrido?
Es que me da pereza desinstalar todo y volver a instalar. Como el win10 proviene de una actualización desde el win7, se mantiene todo lo que tenía instalado de hace tiempo, y no tocaba el papilio desde hace meses.

Edito: y me respondo a mi mismo, ha sido muy simple, actualizar la versión del "papilio loader" a la última 2.8, y funciona. Tenía una versión 2.6 de 2014. Ya he programado y de momento funciona. Es un Spectrum muy básico, pero suficiente para trastear un rato.
iré probando cosas que ya están hechas en el ZXUNO, a ver hasta donde puedo llegar.
Avatar de Usuario
Kyp !Sinclair 1
Hermano de Lucifer
Hermano de Lucifer
Mensajes: 3376
Registrado: 30 Sep 2013, 14:54
Ubicación: Madrid
Has thanked: 28 times
Been thanked: 146 times

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por Kyp »

Si que has sido rápido. De todas formas tampoco hubiera sido de mucha ayuda porque tengo Win7.

Y si, es un Spectrum 48 pelado pero funciona :)
Lo bueno, creo, es que al ser tan básico es mucho más fácil de entender que el core del ZX-Uno.
Avatar de Usuario
flopping
Fundador
Fundador
Mensajes: 9971
Registrado: 29 Mar 2013, 15:26
Ubicación: Valencia
Been thanked: 122 times
Contactar:

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por flopping »

Hola jepalza, encantado de volver a verte por aquí, ¿a qué foro nuevo té refieres?, salu2.
No me hago responsable de mis post pues estan escritos bajo la influencia del alcohol y drogas psicotropicas, por la esquizofrenia paranoide.
(C) 1982-2024, 42 años de ZX Spectrum.
http://www.va-de-retro.com/ un foro "diferente".

Mi juego, que puedes descargar desde aqui
Avatar de Usuario
jepalza
Le gustan las llamas
Le gustan las llamas
Mensajes: 65
Registrado: 03 Abr 2013, 20:15

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por jepalza »

Hola flopping, llevo tiempo en el retiro, me voy haciendo mayor, y cada vez menos ganas, pero algo queda, y de cuando en cuando, una "canita al aire...." :))

Me refiero al nuevo que han abierto los del equipo del ZXUNO, en http://www.zxuno.com (¿se puede hacer publi?)
Kyp escribió:Si que has sido rápido. De todas formas tampoco hubiera sido de mucha ayuda porque tengo Win7.
Si, no me gusta estar parado, me sabe mal. Al menos, la solución al problema del papilio en win10 queda resuelta y visible para futuros usuarios.

Estoy con el core ahora mismo, probando cosillas, pero el problema ya lo estoy viendo, y es la falta de RAM. Da igual, lo bueno es divertirse.
Avatar de Usuario
flopping
Fundador
Fundador
Mensajes: 9971
Registrado: 29 Mar 2013, 15:26
Ubicación: Valencia
Been thanked: 122 times
Contactar:

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por flopping »

Ok, gracias por la aclaracion, lo que aun me alucina, con la de años que han pasado y el spectrum esta mas vivo que nunca, jajajajaja
No me hago responsable de mis post pues estan escritos bajo la influencia del alcohol y drogas psicotropicas, por la esquizofrenia paranoide.
(C) 1982-2024, 42 años de ZX Spectrum.
http://www.va-de-retro.com/ un foro "diferente".

Mi juego, que puedes descargar desde aqui
Avatar de Usuario
jepalza
Le gustan las llamas
Le gustan las llamas
Mensajes: 65
Registrado: 03 Abr 2013, 20:15

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por jepalza »

Si, tú lo has dicho, mas incluso que el ZX81 que fue el primero, o que el CPC que tuvo tanto o mas éxito que el Spectrum.

Mira Kyp, he modificado el core para que funcione en una SRAM externa, con VGA independiente del Megawing (y PS2), y con un lector de tarjetas SD casero.
De momento, el lector de SD no está implementado, solo lo he conectado para hacer pruebas, y por lo pronto, algo no va bien con mi SRAM, pero desconozco aún si es por velocidad, o por que no está bien soldada. La SRAM que ves ahí soldada con docenas de cablecillos al aire, es una de 512kb reciclada, que solté de un equipo viejo mediante el uso del horno (calentar unos egundos, dar un golpe seco, y caen todos los componentes SMD), y sinceramente, nunca tuve confianza en que estuviera , ni bien soldada, ni al 100% de funcionamiento. Se me corrompe el Spectrum cuando escribo algo, o sea, que algo no va bien.
Tengo algunas SRAM de menos capacidad (de 64 y de 128k) que compré para prototipos, pero tengo que soldar un adaptador para poderlas conectar al Papilio. Lo mismo me pongo luego en un momento, solo son 32 cables. ::?

Pero para meter unas horas de diversión, viene de maravilla.

Imagen
Avatar de Usuario
only8bits
Aspirante a demonio
Aspirante a demonio
Mensajes: 304
Registrado: 24 Jul 2014, 19:14

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por only8bits »

Yo opino que es esencial que aclares si falla la memoria
Avatar de Usuario
Kyp !Sinclair 1
Hermano de Lucifer
Hermano de Lucifer
Mensajes: 3376
Registrado: 30 Sep 2013, 14:54
Ubicación: Madrid
Has thanked: 28 times
Been thanked: 146 times

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por Kyp »

Cambia en el IP de la ROM el archivo COE por el que te adjunto que es una ROM de test. Así puedes probarla.
No tiene los permisos requeridos para ver los archivos adjuntos a este mensaje.
Responder

Volver a “Cursos y Tutoriales”