ZXPP, clon de Spectrum en una Papilio Pro

Cursos, reparaciones, fichas, tutoriales, etc.

Moderador: Fundadores

Avatar de Usuario
Ben-kenobi
Hermano de Lucifer
Hermano de Lucifer
Mensajes: 3547
Registrado: 12 Nov 2013, 10:00
Has thanked: 1 time
Been thanked: 18 times

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por Ben-kenobi »

Mis respetos ! Aunque viendo el codigo se entiende perfectamente lo que hace, a mi me resulta increible y no sabria por donde empezar.
Felicidades y intentare seguir tus progresos.
Quieres vinilos para makear tu spectrum? Mira Aqui
Avatar de Usuario
javu61 !Sinclair QL
Fundador
Fundador
Mensajes: 2175
Registrado: 30 Mar 2013, 11:58
Ubicación: Valencia
Been thanked: 76 times
Contactar:

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por javu61 »

Hola, creo que no tienes memoria suficiente, pero lo ideal sería usar el doble buffer, antes de presentar una pantalla la copias completa a otra zona de memoria, y presentas lo de esa zona. Así es mas fácil que no hayan conflictos entre procesos que acceden a la vez a la memoria, y si manejas una memoria en modo ráfaga es muy rápido.
Larga vida y prosperidad \\//_
Avatar de Usuario
Kyp !Sinclair 1
Hermano de Lucifer
Hermano de Lucifer
Mensajes: 3401
Registrado: 30 Sep 2013, 14:54
Ubicación: Madrid
Has thanked: 31 times
Been thanked: 163 times

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por Kyp »

Ben-kenobi escribió:Mis respetos ! Aunque viendo el codigo se entiende perfectamente lo que hace, a mi me resulta increible y no sabria por donde empezar.
Felicidades y intentare seguir tus progresos.
Muchas gracias.
Tu que tienes un ZX-Uno y el cable de Xilinx podrías adaptar el código fácilmente. En realidad es muy poco lo que tienes que cambiar. Así, a bote pronto... El reloj, se usa el DCM para convertir el reloj de la placa de 32 MHZ al de 25 para la salida VGA y el 14 del Spectrum. En tu caso sería, si no recuerdo mal, de 50 MHz a 25 y 14. Eso se hace con un Wizard así que es muy fácil. El archivo ucf para mapear los pines de la FPGA a donde corresponda en la placa del ZX-Uno. Y la salida RGb que en la PP es de 4 bits pero la del ZX-Uno es de 3 bits. Habría que modificar la paleta. Creo que nada más.

Podemos plantearlo como propuesta de trabajo para la próxima quedada de Parla.
Avatar de Usuario
Kyp !Sinclair 1
Hermano de Lucifer
Hermano de Lucifer
Mensajes: 3401
Registrado: 30 Sep 2013, 14:54
Ubicación: Madrid
Has thanked: 31 times
Been thanked: 163 times

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por Kyp »

javu61 escribió:Hola, creo que no tienes memoria suficiente, pero lo ideal sería usar el doble buffer, antes de presentar una pantalla la copias completa a otra zona de memoria, y presentas lo de esa zona. Así es mas fácil que no hayan conflictos entre procesos que acceden a la vez a la memoria, y si manejas una memoria en modo ráfaga es muy rápido.
No entiendo. Creo que piensas que estoy haciendo un emulador pero no, esto es hardware. No hay conflictos de acceso a la memoria porque uso una RAM de doble puerto y sólo hay un módulo que escribe en la memoria, la CPU. El otro, la ULA, sólo lee.
Avatar de Usuario
Ben-kenobi
Hermano de Lucifer
Hermano de Lucifer
Mensajes: 3547
Registrado: 12 Nov 2013, 10:00
Has thanked: 1 time
Been thanked: 18 times

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por Ben-kenobi »

Me gustaría verlo , pero el cable ya no lo tengo , me lo presto flopping para programar los zxuno y ya se lo devolví.
Quieres vinilos para makear tu spectrum? Mira Aqui
Avatar de Usuario
Kyp !Sinclair 1
Hermano de Lucifer
Hermano de Lucifer
Mensajes: 3401
Registrado: 30 Sep 2013, 14:54
Ubicación: Madrid
Has thanked: 31 times
Been thanked: 163 times

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por Kyp »

Me llevo mi placa en cualquier caso. Si alguien que vaya tiene el cable y se lo puede llevar...
Avatar de Usuario
javu61 !Sinclair QL
Fundador
Fundador
Mensajes: 2175
Registrado: 30 Mar 2013, 11:58
Ubicación: Valencia
Been thanked: 76 times
Contactar:

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por javu61 »

Se lo que es una FPGA, la técnica del doble buffer no solo se puede usar para emuladores por soft. La ULA debe acceder a la memoria baja del Spectrum para leer la pantalla, para lo que debe conseguir pelear con el procesador para el uso compartido de los buses, por lo que debes tenerlo en cuenta para tu desarrollo. Por eso te sujería el uso del doble buffer, por hard debe ser muy rápido y te ahorras conflictos entre ULA y Z80, aunque no es la técnica mas "purista" desde luego y necesitas disponer de memoria para ello, pero puedes reescalar la imagen mucho mejor al no depender tanto de los timing.
Larga vida y prosperidad \\//_
Avatar de Usuario
Kyp !Sinclair 1
Hermano de Lucifer
Hermano de Lucifer
Mensajes: 3401
Registrado: 30 Sep 2013, 14:54
Ubicación: Madrid
Has thanked: 31 times
Been thanked: 163 times

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por Kyp »

Uso algo mucho mejor, una RAM de doble puerto. Tiene dos parejas de buses de datos y de direcciones independientes. No hay peleas por los buses. En el mundo real no se usan mucho porque son muy caras pero la FPGA trae ese tipo de memoria de serie.
Tampoco es muy purista pero no pretendo hacer una copia exacta (para eso ya tenemos el zx-uno), prefiero aprovechar las ventajas que ofrecen las nuevas tecnologías.
Avatar de Usuario
Kyp !Sinclair 1
Hermano de Lucifer
Hermano de Lucifer
Mensajes: 3401
Registrado: 30 Sep 2013, 14:54
Ubicación: Madrid
Has thanked: 31 times
Been thanked: 163 times

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por Kyp »

antoniovillena escribió:Lo ideal es hacerte un circuito de EAR basado en un transistor como tiene el ZX-Uno (mírate los esquemáticos).
Pues por fin saqué un rato para ir a comprar unos conectores y ya me he montado el circuito de EAR.
Imagen

Tras unos minutos...
Imagen

He probado a cargar varios juegos pero no me han funcionado algunos. Por ejemplo el Fairlight que tiene una carga no estándar no ha funcionado. No se si tendrá que ver que el cargador está en la memoria baja y al no tener contención fallan las rutinas de carga, pero me extraña que pongan ahí la rutina por la falta de precisión en las temporizaciones. Igual es que espera que haya un poco de ruido y el sonido del móvil el demasiado perfecto. Este juego tenía una rutina de carga bastante puñetera (y espectacular).

De todas formas mi móvil da muy poca señal. Tengo que ponerlo al máximo para que cargue. Si le bajo un punto el volumen ya no detecta la señal.

Mi electrónica analógica está MUY oxidada. ¿Qué tendría que modificar para darle más sensibilidad al circuito?
Avatar de Usuario
antoniovillena
Demonio segundo orden
Demonio segundo orden
Mensajes: 1596
Registrado: 02 Abr 2013, 19:06
Been thanked: 1 time

Re: ZXPP, clon de Spectrum en una Papilio Pro

Mensaje por antoniovillena »

Hola kyp, yo de analógica no entiendo, pero le he preguntado a McLeod. Dice que pongas un amplificador operacional. Si no te importa puedes subir las modificaciones que has hecho al repositorio del zxuno, para que cualquier otro que tenga una papilio pro pueda probarlo
Responder

Volver a “Cursos y Tutoriales”